devel #26

Merged
marko merged 24 commits from devel into testing 2021-05-20 20:58:37 +00:00
Showing only changes of commit 5b80764820 - Show all commits

View File

@ -388,9 +388,13 @@ sub Set {
my $sensname = $aArg->[0];
if ( lc $sensname eq 'temperature' ) {
$payload = '"name":"measure_ambient_temperature"';
$abilities = 'ambient_temperature';
if ( ReadingsVal( $name, 'device_info-category', 'sensor' ) eq 'sensor') {
$payload = '"name":"measure_ambient_temperature"';
$abilities = 'ambient_temperature';
} else {
$payload = '"name":"measure_soil_temperature"';
$abilities = 'soil_temperature';
}
}
elsif ( lc $sensname eq 'light' ) {
$payload = '"name":"measure_light"';
@ -401,6 +405,7 @@ sub Set {
$payload = '"name":"measure_soil_humidity"';
$abilities = 'humidity';
}
}
else {
@ -418,8 +423,12 @@ sub Set {
'manualDurationValve1:slider,1,1,59 manualDurationValve2:slider,1,1,59 manualDurationValve3:slider,1,1,59 manualDurationValve4:slider,1,1,59 manualDurationValve5:slider,1,1,59 manualDurationValve6:slider,1,1,59 cancelOverrideValve1:noArg cancelOverrideValve2:noArg cancelOverrideValve3:noArg cancelOverrideValve4:noArg cancelOverrideValve5:noArg cancelOverrideValve6:noArg'
if ( AttrVal( $name, 'model', 'unknown' ) eq 'ic24' );
$list .= 'refresh:temperature,light,humidity'
$list .= 'refresh:temperature,humidity'
if ( AttrVal( $name, 'model', 'unknown' ) eq 'sensor' );
# add light for old sensors
$list .= ',light'
if ( AttrVal( $name, 'model', 'unknown' ) eq 'sensor'
&& ReadingsVal($name, 'device_info-category', 'unknown') eq 'sensor' );
$list .= 'on:noArg off:noArg on-for-timer:slider,0,1,60'
if ( AttrVal( $name, 'model', 'unknown' ) eq 'power' );
@ -536,7 +545,7 @@ sub WriteReadings {
. $propertie->{name} ne 'light-light'
&& ref( $propertie->{value} ) ne "HASH" );
readingsBulkUpdate(
readingsBulkUpdateIfChanged(
$hash,
$decode_json->{abilities}[$abilities]{name} . '-'
. $propertie->{name},
@ -648,8 +657,13 @@ sub WriteReadings {
$settings--;
} while ( $settings >= 0 );
my $online_state = ReadingsVal($name , 'device_info-connection_status', 'unknown');
readingsBulkUpdate( $hash, 'state',
ReadingsVal( $name, 'mower-status', 'readingsValError' ) )
$online_state eq 'online' ?
ReadingsVal( $name, 'mower-status', 'readingsValError') : 'offline'
)
if ( AttrVal( $name, 'model', 'unknown' ) eq 'mower' );
readingsBulkUpdate(
$hash, 'state',
@ -661,16 +675,19 @@ sub WriteReadings {
)
) if ( AttrVal( $name, 'model', 'unknown' ) eq 'watering_computer' );
readingsBulkUpdate(
$hash, 'state',
'T: '
. ReadingsVal( $name, 'ambient_temperature-temperature',
'readingsValError' )
. '°C, H: '
. ReadingsVal( $name, 'humidity-humidity', 'readingsValError' )
. '%, L: '
. ReadingsVal( $name, 'light-light', 'readingsValError' ) . 'lux'
) if ( AttrVal( $name, 'model', 'unknown' ) eq 'sensor' );
if ( AttrVal( $name, 'model', 'unknown' ) eq 'sensor' ) {
my $state_string = ( ReadingsVal($name, 'device_info-category', 'unknown') eq 'sensor') ? 'T: ' .ReadingsVal( $name, 'ambient_temperature-temperature', 'readingsValError' ) . '°C, ' : 'T: ' .ReadingsVal( $name, 'soil_temperature-temperature', 'readingsValError' ) . '°C, ' ;
$state_string .= 'H: '. ReadingsVal( $name, 'humidity-humidity', 'readingsValError' ). '%';
$state_string .= ', L: ' . ReadingsVal( $name, 'light-light', 'readingsValError' ) . 'lux' if (ReadingsVal($name, 'device_info-category', 'unknown') eq 'sensor');
# if ( $online_state eq 'offline') {
# readingsBulkUpdate( $hash, 'humidity-humidity', '-1' );
# readingsBulkUpdate( $hash, 'ambient_temperature-temperature', '-1' ) if (ReadingsVal($name, 'device_info-category', 'unknown') eq 'sensor');
# readingsBulkUpdate( $hash, 'light-light', '-1' ) if (ReadingsVal($name, 'device_info-category', 'unknown') eq 'sensor');
# }
readingsBulkUpdate($hash, 'state', $online_state eq 'online' ? $state_string : 'offline' )
}
readingsBulkUpdate(
$hash, 'state',